Skip to content

SEMICON West 2023: AE Advances the Angstrom Era with Transformational New Technologies

Posted August 23, 2023 by Skip Larson

Last month, the semiconductor industry converged on the “City by the Bay” to discuss a wide range of solutions for design, manufacture and test. Under the banner of “Building a Path Forward,” more than 570 exhibitors showcased solutions at San Francisco’s Moscone Center. This year’s discussions focused on the opportunity and challenges the industry will face as semiconductor sales approach the $1 trillion level by 2030, up from $600 million today. Key issues that will either support or hinder the industry include closing technology gaps and critical talent shortages, along with limiting supply chain disruptions and the impact on climate change. What was clear is that delivering high-performance chips with increasingly complex 3D structures at atomic-scale dimensions is demanding more sophisticated wafer process solutions based on real world-processing data to accelerate modeling for faster design turns than ever before.

As a leading supplier of highly engineered, precision power conversion, measurement and control systems for the semiconductor industry, AE is at the forefront of providing such solutions. We delivered on our theme of “Advancing the Angstrom Era” by launching transformational technologies for the fabrication of next-generation semiconductor architectures with dimensions measured on the angstrom scale.

Crowd Fills the Aisles at AE’s Launch Event

On July 12 at 10:45 a.m., an enthusiastic crowd surrounded AE’s booth for the launch of the eVerest™ RF power generator. Built on over 40 years of RF delivery system expertise and designed to solve critical power delivery issues facing customers and partners, this RF power platform fills the need for precision plasma control for the development and manufacturing of sub-2 nm, Angstrom Era etch and deposition processes. We also launched the eVoS™ ME asymmetric bias waveform generator, which enables direct control of substrate voltage to tailor the plasma ion energy distribution — optimization necessary to selectively control how bulk plasma ions are extracted in order to achieve angstrom feature wafer-level process results.

Juergen Braun, AE’s senior vice president of Plasma Power, described the significance of these launches for semiconductor manufacturing in an interview with Pete Singer, the editor-in-chief of Semiconductor Digest. In addition, Paul Maloney, senior product manager, later discussed these technologies with the Global SMT TV Channel.

And the announcement of new technologies didn’t stop there. AE also unveiled the Luxtron®  M-1100 FluorOptic® Thermometry (FOT) platform, which provides high-accuracy sensing from extremely low to extremely high temperatures — making it ideal for plasma-enhanced semiconductor deposition and etch fabrication, including cryogenic enhance etch.

In AE’s booth, visitors also saw a variety of other mission-critical equipment including a TREK electrostatic chuck demo, Sekidenko 4100T non-contact temperature pyrometers and the high-power, high-accuracy TEGAM Gemini™ 5540 RF power meter.

Included in the showcase of products is the AE PowerInsight by Advanced Energy® data ecosystem. This IoT platform enables optimization of power delivery system performance by providing actionable intelligence derived from high-resolution, high-bandwidth data; customizable, browser-based dashboards; and algorithms based on AE’s decades of expertise.

The Path to $1 Trillion

This year’s SEMICON West illustrated what’s at stake for a sector. According to McKinsey and Company, the semiconductor industry is poised for a decade of growth that will see it become a $1 trillion industry by 2030, but to achieve this promise, continued innovation and new technologies are needed to enable semiconductor wafer processing to move into the Angstrom Era. As we enter a brave new world of semiconductor manufacturing, Advanced Energy leads with transformational plasma power technologies, continuous innovation and dedication to customer partnerships that support growth into a new era of semiconductor technology. 

Share

Skip Larson

Advanced Energy
Skip Larson is the Director of Strategic Product Marketing, Plasma Power Products at Advanced Energy. In his 25 years in the plasma power supply industry, Skip has held a number of senior roles in product development, product & technical marketing, applications, and sales. Skip holds several patents and an MBA in Finance and Marketing from Southern Methodist, along with a BS in Engineering (Mechanical) from the Colorado School of Mines.
More posts by Skip Larson

Related Posts

Blog

Powering What Matters: AE Addresses Four Key Medical Trends at MD&M West 2024

March 22, 2024

By Todd Huston

The Medical Device & Manufacturing West (MD&M West) tradeshow was held February 6-8 in Anaheim, CA with over 1,600 exhibitors and more than 14,000 attendees from the medical industry. Top medical device and equipment makers, power supply providers, thought leaders and engineers attend. Once again, Advanced Energy (AE) played a significant role as a featured exhibitor.
Advanced Energy AE News Medical News

Blog

Engineered to Power Innovation: New Website for Power, Sensing and Control Integrates Full Artesyn Portfolio

August 27, 2023

By Peter Gillespie

Advanced Energy is putting design solutions and products at engineers’ fingertips with the unveiling of its new website on August 29, 2023. The new, fully re-designed site now integrates comprehensive information on the Artesyn family of products and ensures rapid access to the key information needed to accelerate the development of systems built around advanced power, sensing and control solutions.
Advanced Energy AE News

Blog

Digital Power for Medical Applications

August 16, 2023

By Tommy O'Brien

An aging population and a recognition that early detection of ailments enhances life expectancy and reduces costs mean that the number of healthcare applications is growing rapidly. While powering medical technology can be challenging, new digital configurable power solutions offer a number of benefits by enabling control and communication with medical PSUs ‘on the fly’.
Industry News
Browse
Categories A-Z
Join Our Mailing List
Subscribe
Recent Posts
View on X