Zum Inhalt springen

Plasma Stripping

In der Halbleiterfertigung werden beim Stripping Reste von Fotolack entfernt. Die präzise Steuerung der Leistung beim Plasma Stripping ist von entscheidender Bedeutung, um ein einwandfreies Stripping der Waferoberfläche zu gewährleisten, so dass diese frei von potenziellen Defekten ist.

Präzise Leistungssteuerung für einwandfreies Wafer-Stripping

Das Entfernen von Resten von Fotolack ist für die Gewährleistung qualitativ hochwertiger Produkte unerlässlich. Das Portfolio von Advanced Energy an Plasma-Stromversorgungssystemen, AC/DC- und DC/DC-Front-End-Stromversorgungen und Rack-Systemen liefert die genaue Leistung, die zum kontrollierten und effizienten Entfernen von Photoresist-Material erforderlich ist.

Bei der Entwicklung unserer Produkte liegt der Schwerpunkt auf der Optimierung von Effizienz und Leistungsdichte, um die Gesamtbetriebskosten zu senken und gleichzeitig einen zuverlässigen Betrieb mit hoher Betriebszeit zu gewährleisten. Mit einer breiten Palette von Leistungsmodulen nach Industriestandard für die Leiterplattenmontage, die von OEMs und Systemintegratoren in großem Umfang verwendet werden, bietet AE die Flexibilität und Skalierbarkeit, die Halbleiterhersteller benötigen, um die Anforderungen von Bandprozessen zu erfüllen.


Verwandte Blogs

Alle Nachrichten und Veranstaltungen

Blog

The Next Leap: Powering Plasma for the Angstrom Era

Februar 27, 2023

By Juergen Braun

Semiconductor manufacturing pushes the boundaries of process innovation to the nearly impossible.
AE News Industry News Innovation Semiconductor

Blog

SEMICON West 2022: SEMI Goes Big; Chips Get Small

August 04, 2022

By Dhaval Dhayatkar

What was new this year at SEMICON® West? This July, semiconductor professionals gathered virtually and in person at the Moscone Convention Center to make connections, exchange ideas, and discover what’s on the horizon for the industry.
Advanced Energy Semiconductor

Blog

The High-Voltage Innovations Behind Moore’s Law

Juli 06, 2022

By Ray Morgan

Anyone associated with the semiconductor industry – and many people who aren’t – will be familiar with Moore’s Law, the concept that the number of transistors (and, thus, the processing power) per unit of integrated circuit (IC) area doubles every two years.
High Voltage Semiconductor

Blog

Accurate Prediction of Vacuum Capacitor Lifetime Reduces Unplanned Downtime by 80%

Juni 22, 2022

By Andrew Merton

The failure of any key element or subsystem in a semiconductor manufacturing facility has the potential to bring the process to a complete standstill and/or to force costly wafer scrap.
Innovation Semiconductor

Blog

The Future of Semiconductor Manufacturing: Reflecting on the 2022 SEMI Industry Strategy Symposium

April 19, 2022

By Edwin Mok

As a market leader in precision power conversion, measurement and control solutions for semiconductor manufacturing, Advanced Energy has long been a participant at SEMI’s Industry Strategy Symposium (ISS), the premier event to share the semiconductor industry’s outlook.
Industry News Semiconductor

Blog

Optimized Power, Not More Power: Plasma Power for Next-Generation Semiconductor Wafer Fabrication

Dezember 02, 2021

By Dhaval Dhayatkar

As integrated circuit (IC) designs become ever-more complex with each new architecture and technology node, so does the processing of the semiconductor wafers used to fabricate those ICs.
Innovation Semiconductor

Blog

Making the Switch at Speed

Dezember 17, 2020

By Denis Shaw

With the goal of enabling faster semiconductor manufacturing plasma processes, Advanced Energy (AE) threw the switch on a radical development program back in 2010 to develop and launch the industry’s fastest RF power tuning network.
Innovation Semiconductor

Blog

20 Years of No Strings Attached Performance

Dezember 15, 2020

By Peter Gillespie

“No strings attached performance” in RF plasma power for semiconductor manufacturing? Here at Advanced Energy (AE), we like to say, “no cables attached performance.”
Innovation Semiconductor

Blog

Precise Power Control and Unmatched Reliability with the New MAXstream RPS

Dezember 10, 2020

By Thomas Gubiotti

I’m pleased to update you on the release of Advanced Energy’s new line of MAXstreamTM remote plasma source (RPS) systems.
AE News Innovation Semiconductor

Blog

SEMICON West 2020 - In a home office far, far away ...

Juli 30, 2020

By Dhaval Dhayatkar

July and SEMICON West have long been synonymous for semiconductor professionals for many years.
Semiconductor