コンテンツへスキップ

ストリップ

半導体製造において、ストリップ工程は残留フォトレジストを除去します。プラズマエッチングの精密な電力制御は、ウエハ表面を完璧にストリップし、潜在的な欠陥を残さないようにするために非常に重要です。

ウエハストリップを完璧にする高精度電力制御

フォトレジスト材料の残りを除去することは、高品質の製品を確保するために不可欠です。Advanced Energyのプラズマ電源、AC/DCおよびDC/DCフロントエンド電源、ラックシステムのラインナップは、フォトレジスト材料を制御された効率的な方法で除去するために必要な高精度パワーデリバリーを実現します。

当社の製品は、効率と電力密度を最適化し、総コストを削減するとともに、信頼性の高い高稼働時間を確保することに徹底的にこだわって設計されています。OEMやシステムインテグレーターに広く使用されている業界標準の基板実装型電源モジュールを幅広く取り揃え、AEは半導体メーカーがストリップ工程の要求に応えるために必要な柔軟性と拡張性を実現しています。


関連ブログ

すべてのニュース&イベント

Blog

The Next Leap: Powering Plasma for the Angstrom Era

2月 27, 2023

By Juergen Braun

Semiconductor manufacturing pushes the boundaries of process innovation to the nearly impossible.
AE News Industry News Innovation Semiconductor

Blog

SEMICON West 2022: SEMI Goes Big; Chips Get Small

8月 04, 2022

By Dhaval Dhayatkar

What was new this year at SEMICON® West? This July, semiconductor professionals gathered virtually and in person at the Moscone Convention Center to make connections, exchange ideas, and discover what’s on the horizon for the industry.
Advanced Energy Semiconductor

Blog

The High-Voltage Innovations Behind Moore’s Law

7月 06, 2022

By Ray Morgan

Anyone associated with the semiconductor industry – and many people who aren’t – will be familiar with Moore’s Law, the concept that the number of transistors (and, thus, the processing power) per unit of integrated circuit (IC) area doubles every two years.
High Voltage Semiconductor

Blog

Accurate Prediction of Vacuum Capacitor Lifetime Reduces Unplanned Downtime by 80%

6月 22, 2022

By Andrew Merton

The failure of any key element or subsystem in a semiconductor manufacturing facility has the potential to bring the process to a complete standstill and/or to force costly wafer scrap.
Innovation Semiconductor

Blog

The Future of Semiconductor Manufacturing: Reflecting on the 2022 SEMI Industry Strategy Symposium

4月 19, 2022

By Edwin Mok

As a market leader in precision power conversion, measurement and control solutions for semiconductor manufacturing, Advanced Energy has long been a participant at SEMI’s Industry Strategy Symposium (ISS), the premier event to share the semiconductor industry’s outlook.
Industry News Semiconductor

Blog

Optimized Power, Not More Power: Plasma Power for Next-Generation Semiconductor Wafer Fabrication

12月 02, 2021

By Dhaval Dhayatkar

As integrated circuit (IC) designs become ever-more complex with each new architecture and technology node, so does the processing of the semiconductor wafers used to fabricate those ICs.
Innovation Semiconductor

Blog

Making the Switch at Speed

12月 17, 2020

By Denis Shaw

With the goal of enabling faster semiconductor manufacturing plasma processes, Advanced Energy (AE) threw the switch on a radical development program back in 2010 to develop and launch the industry’s fastest RF power tuning network.
Innovation Semiconductor

Blog

20 Years of No Strings Attached Performance

12月 15, 2020

By Peter Gillespie

“No strings attached performance” in RF plasma power for semiconductor manufacturing? Here at Advanced Energy (AE), we like to say, “no cables attached performance.”
Innovation Semiconductor

Blog

Precise Power Control and Unmatched Reliability with the New MAXstream RPS

12月 10, 2020

By Thomas Gubiotti

I’m pleased to update you on the release of Advanced Energy’s new line of MAXstreamTM remote plasma source (RPS) systems.
AE News Innovation Semiconductor

Blog

SEMICON West 2020 - In a home office far, far away ...

7月 30, 2020

By Dhaval Dhayatkar

July and SEMICON West have long been synonymous for semiconductor professionals for many years.
Semiconductor