콘텐츠로 건너뛰기

스트립

반도체 제조에서 스트립 단계는 잔류 포토레지스트를 제거합니다. 플라즈마 에칭에서 정밀한 전력 제어는 웨이퍼 표면을 완벽하게 박리하여 잠재적인 결함이 없도록 하는 데 매우 중요합니다.

완벽한 웨이퍼 스트리핑을 위한 정밀 전력 제어

잔류 포토레지스트 물질을 제거하는 것은 제품의 높은 품질을 보장하는 데 필수적입니다. Advanced Energy의 플라즈마 전원 공급 시스템, AC/DC 및 DC/DC 프런트 엔드 전원 공급 장치, 랙 시스템 포트폴리오는 효율적이고 제어되는 방식을 통해 포토레지스트 물질을 제거하는 데 필요한 정밀 전력을 제공합니다.

당사 제품은 효율성과 전력 밀도를 최적화하여 총소유비용을 절감하는 동시에 안정적이고 높은 가동 시간을 보장하는 데 중점을 두고 설계되었습니다. OEM 및 시스템 통합업체에서 널리 사용되는 광범위한 산업 표준 보드 설치형 전력 모듈을 통해 AE는 반도체 제조업체가 스트립 공정의 요구 사항을 충족하는 데 필요한 유연성과 확장성을 제공합니다.


관련 블로그

모든 뉴스 및 이벤트

Blog

The Next Leap: Powering Plasma for the Angstrom Era

2월 27, 2023

By Juergen Braun

Semiconductor manufacturing pushes the boundaries of process innovation to the nearly impossible.
AE News Industry News Innovation Semiconductor

Blog

SEMICON West 2022: SEMI Goes Big; Chips Get Small

8월 04, 2022

By Dhaval Dhayatkar

What was new this year at SEMICON® West? This July, semiconductor professionals gathered virtually and in person at the Moscone Convention Center to make connections, exchange ideas, and discover what’s on the horizon for the industry.
Advanced Energy Semiconductor

Blog

The High-Voltage Innovations Behind Moore’s Law

7월 06, 2022

By Ray Morgan

Anyone associated with the semiconductor industry – and many people who aren’t – will be familiar with Moore’s Law, the concept that the number of transistors (and, thus, the processing power) per unit of integrated circuit (IC) area doubles every two years.
High Voltage Semiconductor

Blog

Accurate Prediction of Vacuum Capacitor Lifetime Reduces Unplanned Downtime by 80%

6월 22, 2022

By Andrew Merton

The failure of any key element or subsystem in a semiconductor manufacturing facility has the potential to bring the process to a complete standstill and/or to force costly wafer scrap.
Innovation Semiconductor

Blog

The Future of Semiconductor Manufacturing: Reflecting on the 2022 SEMI Industry Strategy Symposium

4월 19, 2022

By Edwin Mok

As a market leader in precision power conversion, measurement and control solutions for semiconductor manufacturing, Advanced Energy has long been a participant at SEMI’s Industry Strategy Symposium (ISS), the premier event to share the semiconductor industry’s outlook.
Industry News Semiconductor

Blog

Optimized Power, Not More Power: Plasma Power for Next-Generation Semiconductor Wafer Fabrication

12월 02, 2021

By Dhaval Dhayatkar

As integrated circuit (IC) designs become ever-more complex with each new architecture and technology node, so does the processing of the semiconductor wafers used to fabricate those ICs.
Innovation Semiconductor

Blog

Making the Switch at Speed

12월 17, 2020

By Denis Shaw

With the goal of enabling faster semiconductor manufacturing plasma processes, Advanced Energy (AE) threw the switch on a radical development program back in 2010 to develop and launch the industry’s fastest RF power tuning network.
Innovation Semiconductor

Blog

20 Years of No Strings Attached Performance

12월 15, 2020

By Peter Gillespie

“No strings attached performance” in RF plasma power for semiconductor manufacturing? Here at Advanced Energy (AE), we like to say, “no cables attached performance.”
Innovation Semiconductor

Blog

Precise Power Control and Unmatched Reliability with the New MAXstream RPS

12월 10, 2020

By Thomas Gubiotti

I’m pleased to update you on the release of Advanced Energy’s new line of MAXstreamTM remote plasma source (RPS) systems.
AE News Innovation Semiconductor

Blog

SEMICON West 2020 - In a home office far, far away ...

7월 30, 2020

By Dhaval Dhayatkar

July and SEMICON West have long been synonymous for semiconductor professionals for many years.
Semiconductor